您好,欢迎来到维库电子市场网 登录 | 免费注册
8年
企业信息

深圳市水星电子有限公司

卖家积分:15001分-16000

营业执照:已审核

经营模式:贸易/代理/分销

所在地区:广东 深圳

企业网站:
http://www.sxdzic.cn/

人气:484203
企业档案

相关证件:营业执照已审核 

会员类型:

会员年限:8年

李`R QQ:2881703403

电话:0755-89585609

手机:13632880560

阿库IM:

地址:深圳市龙岗区平湖街道禾花社区华南大道1号华南国际印刷纸品包装物流区二期2号楼B1C177

E-mail:ljw@sxdzic.cn

产品分类

普通库存(100000)

TMS5700714APGEQQ1R,TI32位ARM微控制器产品规格说明
TMS5700714APGEQQ1R,TI32位ARM微控制器产品规格说明
<>

TMS5700714APGEQQ1R,TI32位ARM微控制器产品规格说明

型号/规格:

TMS5700714APGEQQ1R

品牌/商标:

TI

内存大小:

32位

封装:

LQFP-144

标准包装数量:

500

产品信息

TMS5700714APGEQQ1R,TI32位ARM微控制器产品规格说明


制造商零件编号:
TMS5700714APGEQQ1R
制造商:
Texas Instruments
说明:
ARM微控制器 - MCU 16/32-Bit RISC Flash Microcontroller 144-LQFP -40 to 125
规格
产品种类: ARM微控制器 - MCU
封装 / 箱体: LQFP-144
: ARM Cortex R4F
数据总线宽度: 32 bit/16 bit
时钟频率: 160 MHz
程序存储器大小: 768 KB
数据 RAM 大小: 128 kB
ADC分辨率: 12 bit, 12 bit
工作电源电压: 1.14 V to 1.32 V
工作温度: + 125 C
模拟电源电压: 5.25 V
商标: Texas Instruments
数据 Ram 类型: RAM
数据 ROM 大小: 64 kB
数据 Rom 类型: EEPROM
I/O 电压: 3.3 V
接口类型: CAN, I2C, SCI, SPI
工作温度: - 40 C
ADC通道数量: 24 Channel
输入/输出端数量: 64 I/O
产品: MCU+FPU
程序存储器类型: Flash
工厂包装数量: 500
电源电压-: 1.32 V
电源电压-: 1.14 V

描述
tms570ls0714器件是高性能汽车级臂®皮质®-大力神TMS570系列MCU部分的研究为基础的。

全面的文档、工具和软件可以帮助开发ISO 26262和IEC 61508功能安全应用程序。

开始与大力神赫拉克勒斯TMS570 LaunchPad开发套件的评估今天。

tms570ls0714器件具有片上诊断功能包括:双CPU同步;内置自测试(BIST)的CPU和内存的逻辑

ECC都闪存和SRAM;外周记忆平价;和行政能力对外围I/O。

tms570ls0714器件集成了ARM cortex-r4f浮点处理器提供了一个有效的1.66 DMIPS/ MHz,

并配置可以运行高达160兆赫提供高达265 DMIPS。TMS570设备支持的话不变大端[ be32 ]格式。
tms570ls0714装置具有集成闪存和单点误差校正和双位错误检测RAM配置128kb 768kb。

该设备上的闪存是非易失性的,电可擦除和可编程的,并且用64位宽的数据总线接口实现。

Flash运行在3.3V的电源输入(如I/O提供相同水平)的所有程序,读取和擦除操作。

SRAM支持单周期读写字节、半字、字和双字模式访问,在支持的频率范围。
实时控制应用的tms570ls0714设备功能的外设,

包括下一代高端计时器(n2het)定时的协处理器与44总I/O端子,

七增强型PWM(EPWM)多达14个输出模块,六增强型捕捉(ECAP)模块,

两增强正交编码脉冲(eQEP)模块,和两个12位模拟到数字转换器(ADC)支持多达24个输入。
n2het是一种先进的智能定时器,实时应用提供了先进的定时功能。

定时器软件控制,采用精简指令集,与微机械的计时器和一个附加的I/O端口。

n2het可用于脉冲宽度调制的输出,输入捕捉或比较,或通用I / O(GIO)。

n2het特别适用于需要多传感器信息和驱动执行复杂和的时间脉冲的应用。

一个高端的定时传送单元(HTU)可以转移n2het数据或从主存储器。存储器保护单元(MPU)建成的话。
ePWM模块可以生成复杂的脉冲波形与的CPU开销或干预,

EPWM的易于使用和支持和互补PWM死区的产生。综合旅行区保护和同步与片MibADC,

EPWM是理想的数字电机控制中的应用。
在定时捕获外部事件的系统中,ECAP模块是必不可少的

。ECAP也可以用来监测ePWM输出或生成简单的PWM时不需要捕获应用程序。
的eQEP模块用于线性或旋转增量式编码器得到的位置、方向和速度信息的直接接口,

从旋转机用于高性能的运动和位置控制系统。
该装置具有两个24总投入和64字的奇偶校验保护缓冲区RAM每12位分辨率mibadcs。

该MibADC通道可以转换可以通过单独或连续的转换序列的软件组合。

十六输入两mibadcs之间共享。有三个不同的组。当触发或配置为连续转换模式时,

每个组都可以转换。该MibADC具有使用10位模式与旧的设备或更快的转换时间时所需的兼容性。
该装置具有多种通信接口:三mibspis;两斯皮思;两SCI,其中一个可以作为林;高达三dcans

和一个I2C模块,SPI提供类似的转移登记类型设备之间的高速串行通讯交互的简便方法。

林支持本地互连标准的2,可以作为串口在全双工模式下使用标准的非归零(NRZ)格式。

的改变,支持CAN 2.0B协议标准,采用串行、多主通信协议,

有效支持高达1 Mbps的强大的通信速率的分布式实时控制,改变,

是理想的应用在嘈杂和恶劣的环境中操作(例如,汽车和工业领域),

需要可靠的串行通信线路或复。

I2C模块是一种多主通信模块提供的微控制器和一个I2C兼容的设备通过I2C串行总线之间的接口。

I2C模块支持100和400 kbps的速度。
调频锁相环(fmpll)时钟模块用于将外部频率参考